Murata commercializes low-power Wi-Fi®/Bluetooth® combo module:Helping proliferate battery-powered IoT <span style='color:red'>equipment</span>
Key word:
Release time:2024-06-28 11:31 reading:641 Continue reading>>
Advanced Packaging in High Demand, TSMC and OAST Increasing Equipment Orders
  As AI demand continues to surge, TSMC (Taiwan Semiconductor Manufacturing Company) has initiated an extensive expansion plan for its CoWoS (Chip-on-Wafer-on-Substrate) production. Within the industry, reports suggest that TSMC, a leading semiconductor foundry, placed a significant wave of orders with Taiwanese equipment manufacturers last week, creating a notable impact.  Additionally, outsourced semiconductor assembly and testing (OSAT) giants, which were originally inquiring about orders, have also significantly increased their orders for advanced packaging. This wave of orders is estimated to be fulfilled between March and April of the upcoming year.  The growth in demand for advanced semiconductor processes due to AI applications necessitates advanced packaging technologies to keep pace. With packaging technologies advancing from 2D and 2.5D to the more advanced 3D IC (Integrated Circuit) configurations, the number of IC stacking layers is increasing, leading to a greater demand for advanced packaging equipment. Considering the current emphasis on CoWoS technology, the industry estimates that production capacity will reach 12,000 to 14,000 wafers in 2023 and double to over 30,000 wafers in 2024.  According to a report by Taiwan’s Money DJ, information from equipment industry sources reveals that TSMC recommenced orders for CoWoS equipment in April 2023, with a second wave of orders in June. Subsequently, sporadic additional orders were placed, and last week witnessed a new significant wave of orders, surprising many.  An anonymous executive from a Taiwanese equipment company expressed that they initially believed TSMC’s orders for CoWoS equipment had concluded, making the recent wave of orders even more unexpected. Furthermore, the increase in orders for advanced packaging equipment by semiconductor testing and packaging facilities is also seen as encouraging.  Market experts believe that semiconductor testing and packaging facilities and semiconductor foundries have different positions and advantages in the advanced packaging market. Their cooperative relationships outweigh their competition. Major OSAT players like ASE, Amkor, and JCET have long possessed advanced packaging technology and are positioned to become an alternative choice for major foundries due to their technical upgrades and competitive pricing. In other words, top-tier orders from customers will be firmly in TSMC’s grasp, while other opportunities will likely be pursued by SATS companies.  Regarding the supply of CoWoS equipment, suppliers like Scientech have received over 30 orders for wet etching processing equipment, while Grand Process Technology and others have shipped nearly 20 units. Meanwhile, G2C+ Alliance members such as GMM and C Sun have reportedly received over 40 orders from the TSMC’s Longtan factory.
Key word:
Release time:2023-10-12 11:10 reading:1932 Continue reading>>
New Murata Power Solutions Product Designed to Meet the Latest Demands of Wireless Infrastructure Equipment Including RFPAs, 5G Base Stations, and Wireless Repeaters
  Murata Power Solutions today announced a new product for growing telecommunications and networking equipment needs. The MPQ600 was designed to enable hardware engineers the flexibility to meet demanding RFPA (Radio Frequency Power Amplifier) system design goals. The solution features a Vin range of 36-75Vdc, a Vout range of 14V to 35V, and offers 96.5 percent efficiency. It was developed specifically for powering wireless infrastructure equipment such as RFPA, 5G base stations, wireless repeaters, distributed antenna systems, industrial (robotics, transportation, digital signage, communications), infrastructure point-to-point radios, networking equipment, public safety wireless equipment, and test and measurement equipment.  Additional features include remote on/off control, remote sense and trim functions, input under voltage, over-current, over temperature, and short circuit protection. Additionally, the MPQ600 module features a PMBusTM interface that can be used to monitor input and output voltages, output current, and device temperature. The PMBusTM also allows users to configure many operational parameters including output voltage, current limit, Vout ramp rate, Vout delay, soft start/stop and optimization of the modules for stable operation under a wide range of conditions.  The solution was designed, tested, and qualified according to the industry standard IPC9592 design for reliability requirements. Electrical performance is state-of-the-art with an efficiency rating of 96.5+ percent typical at full load, Pre-Bias protection under all conditions, and tight line and load regulation. The MPQ600 has low output ripple and noise and fast load transient response all packaged in an industry standard quarter brick package measuring just 58.4 x 36.8 x 14.4mm (2.3 x 1.45 x 0.57 in). All I/O isolated MPS modules are designed and comply with IEC/EN/UL 62368-1 safety standards.  “Murata Power Solutions is proud to offer the MPQ600-28V21-D48NBMC modules to power systems architects around the world. We employ a highly rigorous reliability design and manufacturing process, advanced digital control platform with Murata’s proprietary firmware, providing the power system designer the tools for the next generation, high performance systems,” said Bill Smith, Sr. Product Manager, Murata Power Solutions.
Key word:
Release time:2023-10-11 13:40 reading:1797 Continue reading>>
AMEYA360 VIETNAM Electronics Production Equipment and Microelectronics Industry Exhibition NEPCON VIETNAM successfully concluded
  From September 6th to 8th, 2023, the three-day 2023 VIETNAM Electronic Production Equipment and Microelectronics Industry Exhibition NEPCON VIETNAM was held grandly at Hanoi International Exhibition Center, Vietnam. The exhibition brought together electronic component material and production equipment manufacturers and agents, suppliers and visitors from around the world, making it an important event in the field of Vietnam’s electronics manufacturing industry.  The exhibition covered a wide range of areas, including raw materials, equipment, systems and services used in printed circuit board , semiconductor packaging, production, design, testing and assembly. It also included microelectronic components such as active/passive components, electromechanical components, and electronic processing services including surface mount technology equipment and services, test and measurement equipment and services, electronic product manufacturing services, electronic components and mobile phone components and other fields. In recent years, Vietnam has become one of the latest advanced electronics product manufacturing centers in ASEAN, attracting numerous excellent electronic companies to establish their presence.  AMEYA360 as a professional electronic components agent/distributor also participated in this exhibition. During the exhibition, AMEYA360 booth received a continuous flow of visitors seeking information and consultation. It attracted customers from different countries for discussion and exchanges. The team from AMEYA360 , with high spirits, warmly welcomed every visitor to the booth, providing professional and meticulous services to exhibition attendees, allowing customers to gain a deeper understanding of AMEYA360’s remarkable capabilities in the industry.  Exhibition  The exhibition was a showcase of our company’s strength on a global scale, as well as an opportunity to drive the development of the electronic component industry. We engaged in-depth exchanges with industry elites from around the world, learning from each other, and striving to provide more efficient and intelligent services for the global electronics manufacturing industry, thus promoting the overall advancement of the industry.  From the initial preparation to the closing, from the past to the present, AMEYA360 has continuously working hard, staying true to its mission, and committed to pursuing excellent quality to create a great user experience. We value every opportunity to communicate, negotiate, and cooperate with each customer and sincerely appreciate your visits, trust, and support. In the future , AMEYA360 will continue to innovate and accelerate its development, driving the electronic industry’s supply chain to reduce costs and increase efficiency!
Key word:
Release time:2023-09-11 15:52 reading:2703 Continue reading>>
Focus on the Industrial Equipment Market: ROHM launches ‘Product Longevity Program’
  ROHM has recently launched a dedicated page for a new Product Longevity Program. It provides information on the estimated supply periods for products scheduled for long-term supply – suitable for industrial equipment and other applications with long life cycles.  In recent years, semiconductors and electronic components are being increasingly installed in long-life applications, such as industrial equipment and automotive systems – requiring the disclosure of applicable products and clarification of supply periods to facilitate product selection.  Products formally placed under the program (selected after considering the production system, equipment, material procurement status, and other factors) are disclosed along with the estimated supply periods. The goal: improving searchability by increasing the efficiency of customer product selection.  The PLP sets supply periods of 10 to 20 years for products (mainly power and analog) requiring long-term supply, with relevant information such as supply status posted on ROHM’s website. This information (target products, supply periods) will be updated once a year to ensure the continuity of customer operations.  As the role of semiconductors in the industrial equipment and automotive markets continues to grow, ROHM will strive to provide long-term supply that meets market needs and contributes to the creation of a sustainable society through an expanding lineup of superior products.  ‘Quality is our top priority at all times’ has always been ROHM’s goal. In line with a corporate objective of ‘contributing to the advancement and progress of culture through a consistent supply, under all circumstances, of high quality products in large volumes to the global market,’ ROHM has been supplying products that meet customer demands to achieve a sustainable society.
Key word:
Release time:2023-09-07 14:06 reading:2504 Continue reading>>
AMEYA360:Murata added four Japanese factories to import self-generating <span style='color:red'>equipment</span> with solar panels and batteries
  To achieve the RE100, Murata will introduce new battery systems at four plants in Japan, which will use 100 percent renewable energy in the future. The four plants that have introduced new battery systems include Sendai Murata Manufacturing Plant (Sendai, Miyagi Prefecture), Ise Murata Manufacturing plant (Tsumi, Mie Prefecture), HAKUI Murata Manufacturing plant (Yuba, Ishikawa Prefecture), and WAKURA Murata Manufacturing Plant (Shichio, Ishikawa Prefecture).  With the goal of achieving a sustainable society, we will continue to promote the renewable energy of the electricity used in the whole business activities of Murata Group and contribute to reducing the environmental load of the regional society. The battery system was first introduced into use in Kanazu Murata Manufacturing Company (Fukui Prefecture Tohara City) in November 2021.  After this introduction, there will be 5 plants operating this system in Japan, and the cumulative CO2 emission reduction will reach 1,897 tons.  The system integrated management of production plan, electricity consumption, weather information, power generation forecast information in large-scale solar panels and battery units, and combined with Murata's unique energy management system, can optimize the use of energy in real time. In the daytime, the system can monitor the increase and decrease of production and the change of weather, but also can efficiently manage the use of self-generated electricity and battery charging and discharging, and reduce the power supply load of the system stably. In addition, the system will charge the battery at night, in case of daytime power demand, help to stabilize the supply load.  In recent years, the rise of sea level and temperature and abnormal weather caused by global warming have become major social issues, and the use of renewable energy has become very important. In particular, it is difficult to predict the supply and demand of electricity in summer and winter in Japan, and the supply network is unstable due to the instability of renewable energy generation. Therefore, companies not only need to promote the use of renewable energy with additionality, but also need to improve the efficiency of energy management. In order to solve such social problems, Murata is making continuous efforts to achieve a sustainable society by optimizing energy use by using battery units as well as solar panels for self-generating electricity.  The storage unit used in this system fully demonstrates the advantages of the secondary batteries of Murata Production, which can realize long-term stable operation.  According to the different weather conditions and production projects, the operation conditions of each village station vary greatly. In the future, we will analyze and accumulate knowledge and experience on the system operation of each stronghold, and strive to extend this system to more business offices and factories.  Murata has identified "Strengthening climate change measures" as an important task and has been promoting the introduction of renewable energy in a bid to contribute to solving global social issues. We strive to achieve the group's total greenhouse gas emission reduction target in business operations, and actively invest in all businesses to promote energy conservation and the use of renewable energy.  In the future, the Murata Group will continue its efforts to promote the use of renewable energy in Japan and overseas locations, and continue to promote measures to combat climate change.
Release time:2023-03-10 11:37 reading:1966 Continue reading>>
OMRON:Enabling safe sterilization of medical <span style='color:red'>equipment</span> with robotics
Fab Equipment Challenges For 2019
After a period of record growth, the semiconductor equipment industry is facing a slowdown in 2019, in addition to several technical challenges that still need to be resolved.Generally, the equipment industry saw enormous demand in 2017, and the momentum extended into the first part of 2018. But then the memory market began deteriorating in the middle of this year, causing both DRAMand NAND vendors to push out their equipment orders.The memory downturn is expected to extend into 2019, which will impact equipment makers with exposure to DRAM and NAND. Then, on the geopolitical front, the trade issues between the United States and China are a cause for concern, although the long-term impact remains unclear.On the positive side, foundry vendors continue to ramp up their 7nm processes, propelling equipment orders in the logic space. The outlook is good at the other end of the spectrum, as well, where the industry continues to see demand for mature 200mm equipment.Still, the demand for leading-edge and mature tools can’t make up for the downturn in memory, which likely will put the equipment industry in negative territory. In total, the worldwide semiconductor equipment industry is expected to grow by 13.7% in 2018, but the business is projected to slow and decline by 8.6% in 2019, according to VLSI Research.Of course, the forecast could change overnight, and it’s not all gloomy in the market. Tool segments with exposure to logic will fare better in 2019. But amid a downturn in memory, tool segments with exposure to DRAM and NAND are in for a rough ride.For example, some foundries are expected to ramp up extreme ultraviolet (EUV) lithography at 7nm, a move that will likely propel EUV scanner orders for ASML. Inspection and metrology are also bright spots.“If you look at the equipment side, EUV is obviously going to be positive. The other one that you see performing better in downturns is process control. If foundry and logic maintain their spending, they are heavy on process control compared to memory,” said Risto Puhakka, president of VLSI Research. “On the negative side, you will probably see etch and some deposition segments that are heavily dependent on memory. That will probably see a bigger decline.”To help the industry get ahead of the curve in 2019, Semiconductor Engineering has taken a look at several equipment segments, including foundry, memory, China and 200mm.More numbersWhat a difference a year makes. Citing huge demand for memory, the semiconductor market is projected to grow by 15.5% in 2018, according to VLSI Research. But the current memory slowdown is expected to drag down the industry, causing the IC market to decline by 1.6% in 2019, according to the firm.The equipment industry is following a similar pattern. 2018 started out looking like another record year for the equipment industry, but the memory downturn slowed the momentum.“The equipment market will grow about 14% in 2018, which is a little bit below what we were predicting earlier in the year. But still, it’s a good year,” said Andrea Lati, an analyst with VLSI Research. “But we did see a de-acceleration in the second half of 2018, which we expected. If you look how much spending went on in 2017, we knew at some point that supply was going to catch up with demand and surpass it. That happened in the second half of this year.”At that point, the market failed to regain the momentum. “This downward pressure we are seeing in the second half of 2018 is going to roll over into 2019. We’re a little bit more bearish about 2019. We do expect both the semiconductor and the equipment markets to decline in 2019,” Lati said. “Part of it is because there is some over-build in our industry. The second part is really the macro picture. It’s slowing, and we also have the China situation. That is also adding uncertainty.”Compounding the problem is the memory downturn. “We expect memory sales to decline almost 10% in 2019. We do see that logic will probably be in positive territory and growing almost 4%. So it will be primarily a memory-driven downturn in 2019,” Lati said.Needless to say, this will impact the equipment industry. In a different forecast, SEMI predicts that worldwide sales of new semiconductor equipment will increase 9.7% to $62.1 billion in 2018, but the market will decline by 4% in 2019. In 2019, South Korea will remain the largest equipment market, followed by China and Taiwan, according to SEMI.Fig. 1: Worldwide sales of new semiconductor manufacturing equipment. Source: SEMITwo other metrics, semiconductor capital spending and the wafer-fab equipment (WFE) market, are seeing similar trends.“(For) WFE CapEx, calendar 2018 was driven by buoyant demand for memory,” said Toshiki Kawai, president and chief executive of TEL, in a recent presentation. “Expect year-over-year growth of approximately 5%-10%.”In 2019, though, WFE will reach $50.6 billion, down 5% over 2018, according to KeyBanc Capital Markets. Capital spending will reach $87.2 billion in 2019, down 5% over 2018, according to KeyBanc.“For memory, what we are seeing right now is a definite slowdown. I see a CapEx decrease in ’19 for DRAM after an incredible year. In NAND, it will be modestly down in ’19,” said Oreste Donzella, senior vice president and chief marketing officer at KLA-Tencor. “We believe foundry will go up. The question is how much will foundry go up.”From an applications perspective, it’s also a mixed picture. The smartphone market is flat, but there are other applications that will drive IC demand, such as automotive, artificial intelligence and wireless.AI involves a technology called machine learning. In simple terms, machine learning uses a neural network in a system. In neural networks, a system crunches data and identifies patterns. It matches certain patterns and learns which of those attributes are important.The industry is accelerating the use of machine learning in various systems, thereby driving the demand for ASICs, FPGAs, GPUs and memory.“The world of memory is increasing in the era of AI and deep learning,” said Gill Lee, managing director of memory technology at Applied Materials. “Bit growth is happening with all of these new applications, such as AI, deep learning and data centers. The memory applications are becoming more diversified.”Others see similar trends. “Startup funding for semiconductor companies is back up, with similar increases for new programs inside existing companies,” said Aki Fujimura, chief executive of D2S.“Excitement over deep learning, autonomous driving, and continued innovation in IoT are providing new design starts,” Fujimura said. “Despite the recent hiccup from the cooling off in cryptocurrency, I continue to see a strong rising tide behind Nvidia for simulation of natural effects, image and video processing, and deep learning. It is great to see a new wave of funding of innovation in new chips, particularly driven by deep learning. We are going to see deep learning improve what we do in semiconductor manufacturing, as well, in 2019.”Thirumal Thanigaivelan, senior marketing director at Veeco’s UItratech division, said: “Diverse market requirements in AI, graphics and automotive are driving leading-edge development. We expect the investment to continue as we drive toward more processing capability in HBM (high-bandwidth memory). The diverse markets and applications space dampens the fluctuations in fab equipment spending, reducing the cyclicality.”Wafers and masksOne way to get a pulse on the market is to look at the demand picture for two key building blocks in the IC sector—silicon wafers and photomasks.In 2019, silicon wafer shipments will reach 13,090 million square inches, up 5.2% over 2018, according to SEMI. In 2018, silicon wafer shipments grew 7.1%.Then, the photomask market is forecast to exceed $4 billion in 2019, up 4% over 2018, according to SEMI.Photomask makers see demand for both leading- and trailing-edge masks. For example, EUV mask shipments are expected to double, from 1,041 in 2017 to 2,185 in 2018, according to a survey from the eBeam Initiative. That’s a small percentage of overall mask shipments, as 587,233 photomasks will be delivered in 2018, up 27% over 2017, according to the survey.“EUV masks went up 2X, which is expected. That’s a good thing. But the numbers are insignificant compared to the overall masks that are reported. But taken by itself, a 2X increase is a strong indication that the industry is getting ready for EUV,” D2S’ Fujimura said.EUV lithography—a next-generation technology that patterns tiny features on a chip—is moving into high-volume production in 2019 after years of delays. In an EUV scanner, a power source converts plasma into light at 13.5nm wavelengths, enabling the system to print fine features.Chipmakers need EUV because it’s becoming more difficult to pattern the tiny features using today’s 193nm immersion lithography and multiple patterning.Foundry growthMeanwhile, the foundry business, which is a big equipment market, is a mixed bag. Capital spending in the foundry sector will reach $25.1 billion in 2019, up 14% over 2018, according to KeyBanc.But the number of leading-edge foundries is dwindling, meaning there are fewer buyers of equipment at the most advanced nodes.Tool vendors are seeing demand in several foundry segments. At the high end, the demand driver is 7nm, although the product mix is changing at advanced nodes. “20nm, 16nm, 14nm and 10nm were really driven by mobile,” KLA-Tencor’s Donzella said. “At 7nm, we still have a high number of tape-outs driven by mobile. We also see AI applications. Now, the question is how many of these tape-outs are going to be realized in wafer capacity.”Not all of the action is at advanced nodes. “If you look at the percentage of revenue coming from the trailing edge, say 40nm and above, it’s still quite significant. Some 50% of the foundry revenue comes from trailing edge. That isn’t going to change. The reason is because of IoT demand, driving RF and MEMS. Then, you have automotive,” Donzella said.At 22nm and above, the industry continues to develop chips based on traditional planar transistors. In contrast, 16nm/14nm and 10nm/7nm are based on finFETs.It’s becoming more difficult to scale at each node. “PPAC (power, performance, area, cost) scaling at the leading edge is getting more complex and costly,” said Yang Pan, corporate vice president of advanced technology development at Lam Research.The challenges have caused a major shakeup in the leading-edge foundry landscape. Today, there are only two companies shipping 7nm—Samsungand TSMC. In 2018, GlobalFoundries halted its 7nm efforts. The company couldn’t justify the return-on-investment at 7nm, as only a few customers can afford to design chips at advanced nodes. At the same time, Intel is struggling at 10nm and has delayed it several times. (Intel’s 10nm is roughly equivalent to 7nm from the foundries.)Still, Samsung and TSMC are moving ahead at 7nm, but they will face challenges along the way. In 2018, TSMC moved into production at 7nm using traditional optical lithography. Then, TSMC plans to insert EUV for its second version of 7nm, which is slated for early 2019.Samsung recently announced 7nm using EUV. Then, at some point, Intel is expected to insert EUV.Bringing up EUV into production presents some challenges. “The introduction of EUV creates new challenges and opportunities for new patterning films and advanced etch processes such as atomic layer etching. Multiple patterning, complementary to EUV, will continue to drive density scaling,” Lam’s Pan said.There are other challenges at advanced nodes. “The other grand challenge in PPAC scaling is RC (resistive-capacitance) reduction, which requires new materials and integration to reduce line and via resistance to improve circuit power performance,” Pan said.Memory woesMemory, another big market for equipment, is in a difficult period. In early 2018, the NAND market declined and fell into an oversupply mode. Oversupply has extended throughout 2018, while prices have plummeted.The NAND outlook is gloomy. “For NAND flash, 2019 revenues should be down 40% from 2018,” said Jim Handy, an analyst at Objective Analysis. “I expect for NAND to reach cost and hug the cost curve until the current oversupply situation ends in a couple of years.”The DRAM market faces a similar situation after years of growth. “DRAM is just beginning a collapse that NAND has been undergoing since early this year,” Handy said.And if that isn’t enough, there are some technical challenges in memory. For example, planar NAND has reached its physical limit at the 1xnm node. So, NAND vendors have been migrating from planar NAND to 3D NAND. Both types are used for solid-state storage drives (SSDs).Unlike planar NAND, which is a 2D structure, 3D NAND resembles a vertical skyscraper in which horizontal layers are stacked and then connected using tiny vertical channels.Today, vendors are migrating from 48- to 96-layer 3D NAND devices with 128-layer products in R&D. Some refer to layers as pairs.The bit density increases as you add more layers. “In 2018, we’ve seen 96 pairs come into the market. Next year, I expect that we will see the next-generation technology, something larger than 120,” Applied’s Lee said.Continued scaling of 3D NAND will drive down the bit cost, enabling high-density SSDs at lower prices. This in turn expands the market for SSDs. “NAND-based SSDs were originally targeted for more of the high end. Now, it’s a big part of notebook computers. SSDs are also targeting even the low-end of storage. So, the market for NAND is not only going up, but it’s also covering a big part of the hard disk-drive market,” Lee said.Still, it’s difficult to scale 3D NAND from 64 to 96 layers and beyond. In the 3D NAND flow, alternating films are stacked on a substrate using deposition. The process is repeated several times. But as more layers are added, the challenge is to stack the layers uniformly and without defects.In the next step, a plasma etcher etches tiny circular holes or channels from the top of the device stack to the bottom substrate. Each channel must be uniform. Otherwise, CD variations may occur.“Stress management is another area of extreme importance when the layer count increases,” Lam’s Pan said. “High aspect ratio (HAR) etch continues to be the most critical and difficult step in the entire flow. At 96 layers and above, not only does the memory hole module get more challenging, other structures such as slits also become critically difficult with layer stacking.”China and 200mmFor years, China has been a growing market for semiconductor equipment. Trade issues, however, are infusing uncertainty in this arena.In China there are two types of chipmakers—multinational and domestic players. “The domestic semiconductor companies have been spending quite a bit. And the business is up at all major equipment suppliers in 2018,” VLSI Research’s Puhakka said.What about 2019? ‘’I don’t expect overall WFE from China to change significantly between ’18 and ’19,” KLA-Tencor’s Donzella said. “The mix is different. We see more foundry and less memory. We see more foreign investment and less local.”Meanwhile, 200mm is also a key equipment market. Demand for analog, MEMS and RF chips continue to cause shortages for 200mm fab capacity and equipment.“Some of the Asian foundries are afraid of lower orders in the second half of 2018. However, the current utilization of most fabs in Asia is over 90%,” said Bruce Kim, chief executive of SurplusGlobal, a supplier of secondary equipment. “There is still a big demand for 200mm tools.”What about 2019? “It will be very tight. Some of the advanced devices will be moved from 200mm to 300mm. I estimate the 300mm transfer won’t be so large in 2019,” Kim said.Heading into 2019, there is a shortfall of 200mm equipment. The industry requires from 2,000-3,000 new or refurbished 200mm tools to meet fab demand, according to SurplusGlobal. But there are only 500 available 200mm tools on the market, according to the company.200mm tool prices will remain high. “300mm tool prices are lower than 200mm tool prices these days,” Kim said.All told, 2019 looks cloudy for the equipment industry. Foundry looks up, but memory is down. And so, equipment vendors will need to hold on tight. It will likely will be a stormy ride over the next year.
Key word:
Release time:2018-12-25 00:00 reading:1161 Continue reading>>
North American semiconductor <span style='color:red'>equipment</span> industry posts November 2018 billings
Total fab <span style='color:red'>equipment</span> spending reverses course, growth outlook revised downward
Total fab equipment spending in 2019 is projected to drop 8 percent, a sharp reversal from the previously forecast increase of 7 percent as fab investment growth has been revised downward for 2018 to 10 percent from the 14 percent predicted in August, according to the latest edition of the World Fab Forecast Report published by SEMI.Entering 2018, the semiconductor industry was expected to show a rare fourth consecutive year of equipment investment growth in 2019. But the SEMI World Fab Forecast Report, tracking more than 400 fabs and lines with major investment projects, forecast in August a slowdown in the second half of 2018 and into the first half of 2019. Now, with recent industry developments, a steeper downturn in fab equipment is expected (Figure 1).Figure 1The report shows overall spending down 13 percent in the second half of 2018 and 16 percent in the first half of 2019 with a strong increase in fab equipment spending expected in the second half of 2019.Plunging memory prices and a sudden shift in companies’ strategies in response to trade tensions are driving rapid drops in capital expenditures, especially among leading-edge memory manufacturers, some fabs in China, and some projects for mature nodes such as 28nm. Industry sectors expecting record-breaking growth in 2019, such as memory and China, are now leading the decline.Following a sharp fall in NAND flash pricing earlier this year, DRAM prices in the fourth quarter of 2018 began to soften, seemingly ending the two-year DRAM boom. Inventory corrections and CPU shortages continue, prompting predictions of even steeper price declines.Memory makers have quickly responded to changing market conditions by adjusting capital expenditures (capex), and tool orders have been put on hold. DRAM spending may see an even deeper correction in 2019 while NAND flash-related investment could also suffer a double-digit decline next year.A review of spending by industry sector reveals that, while memory capital expenditures were expected to grow by 3 percent in 2019, they are now forecast to drop by 19 percent year-over-year (YOY). DRAM is hit the hardest with a fall of 23 percent, while 3D NAND will contract 13 percent in 2019.China and Korea are suffering the largest drops in spending since the August report.China fab spending fallsProjections for equipment spending in China in 2019 have been revised from US$17 billion in August to US$12 billion, with multiple factors at play including a slowing memory market, trade tensions, and delays in some project timelines.SK Hynix is expected to slow DRAM expansion in 2019. GLOBALFOUNDRIES reconsidered its plan for the Chengdu fab, delaying the ramp. SMIC and UMC are slowing spending. The Fujian Jinhua DRAM project has been put on hold.Korea fab spending downIn August, SEMI forecast that Korea fab equipment spending would decline by 8 percent, to US$17 billion, in 2019 – a projection that has now been slashed to US$12 billion, a drop of 35 percent YoY. Samsung began to reduce equipment investments in the fourth quarter of 2018, and the spending cuts are expected to continue into the first half of 2019. Samsung’s largest projects to be hit are P1 (slowdown) and the ramp of P2 Phase 1 (delayed). Adjustments to the S3 schedule are also expected.Not all memory makers cut capital expendituresWhile SEMI’s detailed, fab-level data show that some memory makers will scale back capital expenditures for 2019, one company stands out. Micron will increase capex for FY19 to US$10.5 billion, up about 28 percent, or $8.2 billion, from FY18. Micron plans to expand and upgrade facilities, invest less in NAND in FY19 than in FY18, and anticipates no new wafer starts.Outlook still upbeat for mature technologiesIn other sectors, especially for non-leading-edge and specialty technologies, some fabs are still increasing investments (Figure 2).Figure 2Opto – especially CMOS image sensors – shows strong growth, surging 33 percent to US$3.8 billion in 2019. Micro (MPU, MCU and DSP) is expected to grow more than 40 percent in 2019 to US$4.8 billion. Analog and mixed signal investments also show strong growth – 19 percent – in 2019, bringing spending to US$660 million. The foundry sector, the second largest product segment in total investments at US$13 billion, shows a 10 percent rise in 2019.The recent three-year boom in the semiconductor market was chiefly driven by the memory sector (e.g. DRAM and 3D NAND flash). One company, Samsung, invested at unprecedented levels, lifting the entire industry. Other memory makers rode the wave of the boom cycle by boosting investments. And China’s profile rose with its huge investments. The industry was poised for four consecutive years of revenue growth – a streak not seen since the 1990s.Now the industry faces well-known threats of inventory correction and the trade war. Both phenomena could slow growth significantly and if both unfold in full force in tandem, the impact could be serious. The data in SEMI’s latest publication of the World Fab Forecast show that the four-year growth streak will not materialize.Since its August 2018 publication, more than 260 updates have been made to the World Fab Forecast. The report now includes more than 1,280 records of current and 115 future front-end semiconductor facilities from high-volume production to research and development. The report covers data and predictions through 2019, including milestones, detailed investments by quarter, product types, technology nodes and capacities down to fab and project level.The SEMI World Fab Forecast examines capital expenditure plans of individual front-end device manufacturers, while the SEMI bi-annual Semiconductor Equipment Sales Forecast is based on year-to-date data collected from equipment manufacturers and modeled off of announced capital expenditure plans of both front-end and back-end equipment manufacturers.
Key word:
Release time:2018-12-18 00:00 reading:1089 Continue reading>>

Turn to

/ 4

  • Week of hot material
  • Material in short supply seckilling
model brand Quote
BD71847AMWV-E2 ROHM Semiconductor
CDZVT2R20B ROHM Semiconductor
MC33074DR2G onsemi
TL431ACLPR Texas Instruments
RB751G-40T2R ROHM Semiconductor
model brand To snap up
STM32F429IGT6 STMicroelectronics
TPS63050YFFR Texas Instruments
BP3621 ROHM Semiconductor
BU33JA2MNVX-CTL ROHM Semiconductor
IPZ40N04S5L4R8ATMA1 Infineon Technologies
ESR03EZPJ151 ROHM Semiconductor
Hot labels
ROHM
IC
Averlogic
Intel
Samsung
IoT
AI
Sensor
Chip
About us

Qr code of ameya360 official account

Identify TWO-DIMENSIONAL code, you can pay attention to

AMEYA360 mall (www.ameya360.com) was launched in 2011. Now there are more than 3,500 high-quality suppliers, including 6 million product model data, and more than 1 million component stocks for purchase. Products cover MCU+ memory + power chip +IGBT+MOS tube + op amp + RF Bluetooth + sensor + resistor capacitance inductor + connector and other fields. main business of platform covers spot sales of electronic components, BOM distribution and product supporting materials, providing one-stop purchasing and sales services for our customers.

Please enter the verification code in the image below:

verification code